Qual è il miglior software per la simulazione Verilog/VHDL?

main-qimg-8eef1126f92bbd1ebff74830c932c056

(immagine cattura forma d'onda)

Quali sono i criteri per il migliore?

  • Stabilità dello strumento, compilazione, elab, simulazione e visualizzatore di forme d'onda.
  • Qualità dei messaggi di errore: quanto utili.
  • Sempre in linea con l'LRM o meno (manuale di riferimento del linguaggio, che definisce regole di sintassi corrette).
  • Funzioni di debug e tracciamento di oggetti HDL.
  • Supporta l'output in formato waveform, come VCD.
  • Livelli di ottimizzazione e l'aumento di possibili bug legati ai livelli.
  • Uso di memoria, uso del processore e uso del disco per RTL e simulazioni back-annotated gate-level.
  • Interfaccia di linguaggio straniero, quanti linguaggi supportati e le loro esigenze di licenza associate.

Venditori diEDA

Synopsys, Mentor, Cadence e Aldec sono quelli che mi vengono in mente adesso. Gli strumenti che offrono sono costosi. Lo sviluppo ASIC è costoso e la necessità di strumenti di qualità e supporto rende il costo delle licenze per gli strumenti EDA accessibile. Per FPGA, gli strumenti gratuiti dei fornitori di FPGA includono i simulatori, ma si ottiene sempre ciò per cui si paga. È sempre possibile ottenere una versione a pagamento degli strumenti FPGA, ma le prestazioni mancano rispetto agli strumenti EDA per ASIC. Usare uno strumento EDA di sintesi per ASIC con librerie FPGA fa un lavoro significativamente migliore della sintesi FPGA integrata, quindi il simulatore avrà prestazioni simili, altrimenti tutti comprerebbero gli strumenti FPGA più economici per fare il loro lavoro ASIC, per così dire.

Ci sono anche simulatori open source per VHDL e Verilog.

Quora space: HW accelerators eating AI (più di 8K professionisti che seguono il mio spazio).

Il mio blog su Quora: System On Chip blog